CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rgb verilog

搜索资源列表

  1. colorchange

    0下载:
  2. 用verilog hdl实现色彩空间转换,rgb到ycbcr-with Verilog HDL achieve color space conversion, rgb to RS
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:12693
    • 提供者:翟博
  1. YCbCr_to_rgb

    1下载:
  2. 颜色空间转换代码,ycbcr对rgb的转换verilog代码.YCBCR的格式是ITU601格式.-color space conversion code, RS right rgb conversion Verilog code. YCBCR format is ITU601 format.
  3. 所属分类:3D图形编程

    • 发布日期:2008-10-13
    • 文件大小:1254
    • 提供者:Jack
  1. rgb2yuv

    0下载:
  2. verilog编写,rtl风格,流水线设计,实现图像rgb格式到yuv格式的转换。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1524
    • 提供者:苗苗
  1. yuv2rgb

    1下载:
  2. 图像的YUV与RGB得色域转换程序。很实用。verilog各式的,该模块已经流片通过
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1515
    • 提供者:scounix
  1. color_space_converters

    0下载:
  2. YCrCb到RGB的变换以及RGB到YCrCb的反变换,可用于视频采集等领域,verilog编码,modelsim验证
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:7121
    • 提供者:mayang
  1. bt_rx

    1下载:
  2. 在FPGA上验证过的BT656转换成RGB的Verilog源代码
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:3434
    • 提供者:liu zhanliang
  1. vga_module

    0下载:
  2. This source use to display a 256x256 RGB image from SRAM on a CRT monitor.You can use this to filter colors of the image.Image is loaded into SRAM by using DE2_control_panel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2394706
    • 提供者:lenam
  1. rgb2yuv

    0下载:
  2. RGB to YUV的verilog程序-RGB to YUV in verilog program
  3. 所属分类:图形图象

    • 发布日期:2017-04-04
    • 文件大小:1257
    • 提供者:高伟
  1. ycrcb2rgb

    0下载:
  2. 用verilog实现ycrcb至rgb的色彩空间格式转换,可综合-ycrcb to rgb colour space convey
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:22218
    • 提供者:郑大贤
  1. etd-0407109-183702-81-001[1]

    0下载:
  2. 文章介绍了YUV向RGB颜色空间转换的硬件电路实现算法.在高基乘法算法基础上,建立了参数化高基乘法算法模型,并给出了Verilog HDL描述 小数乘法的整数乘法近似和近似误差给予了详细的讨论.采用乘法单元复用的设计结果将在两个时钟周期内完成YUV向RGB的颜色空间转换.-This paper introduces the YUV to RGB color space conversion hardware algorithm. Matrix multiplication algorithm i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3997496
    • 提供者:jjj
  1. RGB-to-yuv422

    1下载:
  2. verilog语言写的视频数据处理相关的代码。实现功能为将RGB数据转化为BT656数据。-verilog language to write video data processing related to the code. Functions for the RGB data into the BT656 data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-18
    • 文件大小:2048
    • 提供者:张旭辉
  1. verilog

    0下载:
  2. verilog实现rgb和ycrcb的双向转换-the implementation of rgb to ycrcb in verilogffff
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:71706
    • 提供者:孙璐
  1. RGB

    0下载:
  2. TFT verilog 时序描写, 简单的代码实现适合于初学者-Timing of the RGB LCD
  3. 所属分类:Special Effects

    • 发布日期:2017-04-04
    • 文件大小:1277
    • 提供者:王细昂
  1. RGB-to-YCbCr[Verilog]

    1下载:
  2. 基于FPGA平台的颜色色彩空间转换 RGB to YCbCr-Based on the FPGA platform color RGB to YCbCr color space transformation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:63900
    • 提供者:大海
  1. RGB-color-bar[Verilog]

    0下载:
  2. 基于FPGA的 VGA彩条试验 Verilog-Verilog VGA color bar test based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:89666
    • 提供者:大海
  1. bilinear_demosaic

    0下载:
  2. 用硬件描述语言verilog编写的去马赛克程序,将bayer格式转换为RGB格式。(Using Hardware Descr iption Language Verilog prepared by the mosaic process, the Bayer format into RGB format.)
  3. 所属分类:图形图像处理

    • 发布日期:2017-12-20
    • 文件大小:3561472
    • 提供者:yifan_zheng
  1. rgb_to_ycbcr

    0下载:
  2. RGB转Ycbcr实验,基于av6045开发板例程。(RGB to ycbcr base av6045)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:4872192
    • 提供者:tonykingzhao
  1. bayer_3RGB_interpolation

    1下载:
  2. bayer转rgb 源代码,Verilog语言,FPGA上使用,(Bayer to RGB source code, Verilog language, FPGA use,)
  3. 所属分类:VHDL编程

    • 发布日期:2018-03-26
    • 文件大小:10314
    • 提供者:hezhnew
  1. CTE

    0下载:
  2. YUV訊號轉RGB訊號 RGB訊號轉YUV訊號(YUV to RGB and RGB to YUV)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:1024
    • 提供者:王俞婷
  1. BT656转RGB

    1下载:
  2. 此代码用于BT656转RGB,用的是Verilog语言,发表时间2008年,代码比较简单
  3. 所属分类:其它源码

« 1 23 4 »
搜珍网 www.dssz.com